久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

專注電子技術學習與研究
當前位置:單片機教程網 >> MCU設計實例 >> 瀏覽文章

74LS161十進制計數器的VHDL程序

作者:佚名   來源:本站原創   點擊數:  更新時間:2013年11月08日   【字體:

先編輯一個74LS161十進制計數器的vhdl程序:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity court161 is                                   
 port( clk,CTT,CTP,LD,CR:in std_logic;
               D3,D2,D1,D0: in  std_logic;               
               Q:out std_logic_VECTOR(3 DOWNTO 0); 
               Co: out std_logic);
end court161;                                        
architecture court of court161 is                       
 signal a,b,c:  std_logic  ;
 signal D,CQI:std_logic_vector(3 downto 0);                       
 begin
   D<=D3&D2&D1&D0;
   a<=CTT and CTP;
   b<=(not (CQI(3) and CQI(0)))and LD;                                     
   process(clk,CTT,CTP,LD,CR,D3,D2,D1,D0)                                     
      begin                                     
      if a='1' then
         if  clk'event and clk='1' then
             if b='0' then CQI<=D;           
              else   CQI<=CQI+1;              
              end if;                             
         end if;
         if CQI=9 then c<='1';                      
          else c<='0';                            
          end if;
       end if;
     if clk'event and clk='1' then Co<=c;
     end if;                                    
     if CR='0' then CQI<="0000";
     end if;
       Q<=CQI ;                               
      end process;                                                          
end ;   

關閉窗口

相關文章

主站蜘蛛池模板: 99精品在线观看 | 天天综合天天 | 一区二区三区精品视频 | 日韩a视频 | 91av视频在线观看 | 国产精品一区二区视频 | 最新一级毛片 | 天堂av中文在线 | 亚洲综合资源 | 午夜在线| 成人三级网址 | 欧美一区二区三区 | 久久精品| 国产精品美女www爽爽爽 | 91麻豆产精品久久久久久 | www成人免费 | 久久中文高清 | 视频一区二区三区中文字幕 | 在线男人天堂 | 欧美日韩在线免费 | 欧美成人专区 | 在线观看特色大片免费网站 | 免费的av网站 | 久久精品久久精品久久精品 | 色综合天天天天做夜夜夜夜做 | 在线视频中文字幕 | a级片www| www九色 | 97视频在线观看免费 | 国产精品99 | 亚洲国产成人精品久久久国产成人一区 | 久久国产欧美日韩精品 | 中文字幕一区二区三区不卡在线 | 欧美精品国产一区二区 | 欧美久久国产精品 | 能看的av | 欧美激情久久久 | 欧美日韩免费视频 | 欧美激情欧美激情在线五月 | 亚洲成人国产 | 一区二区三区四区免费在线观看 |