久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 5549|回復: 1
打印 上一主題 下一主題
收起左側

基于Quartus II 9.0 ,用VHDL語言寫的元件例化四位全加器

[復制鏈接]
跳轉到指定樓層
樓主
library ieee;
use ieee.std_logic_1164.all;
entity adder4 is
port(A,B:in std_logic_vector(3 downto 0);
S:out std_logic_vector(3 downto 0);
Co:out std_logic;
Ci:in std_logic);
end adder4;
architecture adder_4 of adder4 is
component adder
port(
A:in std_logic;
B:in std_logic;
Ci:in std_logic;
Co:out std_logic;
S:out std_logic);
end component;
signal c:std_logic_vector(4 downto 0);
begin
C(0)<=Ci;
u1:adder port map(A(0),B(0),C(0),c(1),S(0));
u2:adder port map(A(1),B(1),c(1),c(2),S(1));
u3:adder port map(A(2),B(2),c(2),c(3),S(2));
u4:adder port map(A(3),B(3),c(3),c(4),S(3));
Co<=c(4);
end adder_4;
注:本程序是有一位全加器生成的四位全加器,注意一定要把一位全加器的頂成層文件放到四位全加器的根目錄下,否則一定會報錯,一位,四位全加器的程序在附件中。

元件例化四位全加器.png (21.83 KB, 下載次數: 215)

圖片附上

圖片附上

全加器.zip

343.83 KB, 下載次數: 24, 下載積分: 黑幣 -5

工程文件附上

評分

參與人數 1黑幣 +50 收起 理由
admin + 50 共享資料的黑幣獎勵!

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復

使用道具 舉報

沙發
ID:235109 發表于 2017-9-23 15:41 | 只看該作者
一起加油,謝謝啊
回復

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

手機版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術交流QQ群281945664

Powered by 單片機教程網

快速回復 返回頂部 返回列表
主站蜘蛛池模板: 亚洲v日韩v综合v精品v | 九九在线视频 | 国产欧美日韩一区二区三区 | 一级看片免费视频囗交动图 | 国产成人免费视频网站高清观看视频 | 欧美一级毛片免费观看 | 97天天干| 久久成人一区 | 久久精品国产一区二区电影 | 伊人网一区 | 精品欧美一区二区三区久久久 | 男女羞羞视频在线观看 | 成年人网站免费 | 久久久久国产一区二区三区 | a级在线免费视频 | 国产成人免费视频网站高清观看视频 | 国产色婷婷精品综合在线手机播放 | 日韩一区二区av | 精品国产色 | 91精品国产一区二区三区 | 国产成人精品免高潮在线观看 | 国产片侵犯亲女视频播放 | 中文字幕韩在线第一页 | 99国产视频 | 欧美一区视频 | 色天堂视频 | 国产精品区二区三区日本 | 亚洲最大av网站 | 在线国产一区 | 国产探花在线精品一区二区 | 丁香婷婷久久久综合精品国产 | 久久日韩粉嫩一区二区三区 | 在线视频日韩精品 | 日韩在线精品强乱中文字幕 | 久久国产精品色av免费观看 | 日韩精品免费一区二区在线观看 | 在线观看av中文字幕 | 久久久久久免费免费 | 欧美天天视频 | 精品视频一区二区三区在线观看 | 天天看天天操 |