久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 1819|回復(fù): 0
打印 上一主題 下一主題
收起左側(cè)

FPGA電力拖動自動控制論文

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
ID:125999 發(fā)表于 2016-6-9 17:43 | 只看該作者 回帖獎勵(lì) |倒序?yàn)g覽 |閱讀模式
  
第一章 緒論
1.1 電力拖動控制的發(fā)展現(xiàn)狀        3
1.2電力拖動控制的技術(shù)現(xiàn)狀        4
1.3 選題意義        6
1.4 本設(shè)計(jì)的工作        7
第二章 硬件部分簡介
2.1 具體方案論證        8
2.2 主控芯片的簡介        11
第三章   電力拖動控制的原理
3.1 電力拖動控制電路原理圖        12
3.2電路實(shí)圖............................................................................................................................13
3.3......................................................................................................................................14
第四章   結(jié)論
結(jié)論:............................................................................................................................................18
心得體會:..................................................................................................................................19
參考文獻(xiàn):..................................................................................................................................20

第1章 緒  論
1.1 電力拖動控制的發(fā)展現(xiàn)狀
    直流電機(jī)拖動控制技術(shù)在19世紀(jì)末期就有相應(yīng)的研究。在20世紀(jì)上半葉的年代,由于直流電機(jī)拖動控制速度比較方便,很多高性能可調(diào)速拖動都采用直流電機(jī),而約占電力拖動總?cè)萘?0%以上的不變速拖動系統(tǒng)采用的交流電機(jī),這種分工在一段時(shí)期內(nèi)已成為一種舉世公認(rèn)的格局。交流調(diào)速系統(tǒng)的多種方案雖然早已問世,并已獲得實(shí)際應(yīng)用,但其性能卻始終無法與直流調(diào)速系統(tǒng)相媲美。到了1960至1970年,隨著電力電子技術(shù)的發(fā)展,使得采用電力電子變換器的交流拖動系統(tǒng)得以實(shí)現(xiàn),特別是集成電路控制的出現(xiàn),很多高性能交流調(diào)速系統(tǒng)便應(yīng)運(yùn)而生,這種調(diào)速的格局被慢慢的變化。
在我國,經(jīng)過改革開放20多年的發(fā)展,電力拖動控制技術(shù)有了長足的發(fā)展,特別是單片機(jī),plc和FPGA技術(shù)的發(fā)展,使得電力拖動取得很大的進(jìn)步。電機(jī)行業(yè)的發(fā)展的也取得飛躍的進(jìn)步,電機(jī)產(chǎn)品的品種.性能和產(chǎn)量滿足了我國國民經(jīng)濟(jì)發(fā)展的需要。而且一些產(chǎn)品已經(jīng)達(dá)到世界先進(jìn)水平。目前世界上電機(jī)行業(yè)專家紛紛預(yù)測,中國將會成為世界電動機(jī)的生產(chǎn)制造基地。這些年我國電機(jī)行業(yè)的發(fā)展也取得令人矚目的成績。異步電動機(jī)和同步電動機(jī);在中小型電機(jī)和控制電機(jī)方面,亦自行設(shè)計(jì)和生產(chǎn)了不少新系列電機(jī)。
    與電機(jī)發(fā)展過程一樣,電力拖動技術(shù)也在不斷的發(fā)展,在現(xiàn)代工業(yè)發(fā)達(dá)的背景下自動控制方面顯得尤為重要。近年來,隨著電子技術(shù)和控制理論的不斷發(fā)展,相續(xù)出現(xiàn)了順序控制,可編程無觸點(diǎn)斷續(xù)控制,采樣控制等多種控制方式。控制芯片種類比較多,可通過單片機(jī),CPLD和PLC編程進(jìn)行控制,通過不斷優(yōu)化算法可以提高控制的精度滿足一般的生產(chǎn)和家用的需求。由于電力在生產(chǎn),傳輸,分配,使用和控制方面的優(yōu)越性,使得電力拖動具有方便,經(jīng)濟(jì),效率高,調(diào)節(jié)性能好,易于實(shí)現(xiàn)生產(chǎn)過程自動化等優(yōu)點(diǎn),所以電力控制系統(tǒng)獲得了廣泛的應(yīng)用。在日常生活中使用的風(fēng)扇,洗衣機(jī)等家用電器,在生產(chǎn)中使用的各種各樣的生產(chǎn)機(jī)械,如車床,鉆床,造紙機(jī),軋鋼機(jī)等,都采用的是電力拖動自動控制的。所以,有效的控制電機(jī)使其可靠工作的情況下提高控制精度顯得尤為重要。
   
1.2 電力拖動自動控制技術(shù)的現(xiàn)狀
電力拖動控制系統(tǒng)由電動機(jī)和控制裝置構(gòu)成。電動機(jī)(特別是直流電動機(jī))控制簡便、靈活、可靠,而且易于實(shí)現(xiàn)自動化,因而電力拖動在生產(chǎn)部門中得到了廣泛的應(yīng)用。
目前,很多直流電機(jī)的速度控制采用PWM(脈沖寬度調(diào)制),PWM通過控制固定電壓的直流電源開關(guān)頻率,從而改變電機(jī)兩端的電壓,進(jìn)而達(dá)到控制要求的一種電壓調(diào)整方法。PWM可以應(yīng)用在許多方面,如電機(jī)調(diào)速、溫度控制、壓力控制等。在PWM驅(qū)動控制的調(diào)速系統(tǒng)中,按一個(gè)固定的頻率來接通和斷開電源,并根據(jù)需要改變一個(gè)周期內(nèi)“接通”和“斷開”時(shí)間的長短。通過改變直流電機(jī)電樞上電壓的“占空比”來改變平均電壓的大小,從而控制電動機(jī)的轉(zhuǎn)速。電樞電壓“占空比”與平均電壓關(guān)系圖如下所示:
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps14E8.tmp.jpg
電壓平均值描述Vd=Vmax*D(D=t2/t1+t2)式中,Vd——電機(jī)的平均速度;Vmax——電機(jī)全通電時(shí)的速度(最大);D=t1/T由公式(2)可見,當(dāng)我們改變占空比D=t1/T時(shí),就可以得到不同的電機(jī)平均速度,從而達(dá)到調(diào)速的目的。嚴(yán)格地講,平均速度n與占空比D并不是嚴(yán)格的線性關(guān)系,在一般的應(yīng)用中,可以將其近似地看成線性關(guān)系。PWM實(shí)現(xiàn)方法PWM信號的產(chǎn)生通常有兩種方法:一種是軟件的方法;另一種是硬件的方法。目前廣泛應(yīng)用的是通過改變電機(jī)電樞電壓接通時(shí)間與通電周期的比值f占空比)來控制電機(jī)的轉(zhuǎn)速。PWM能通過對單片機(jī)、CPLD等進(jìn)行編程來實(shí)現(xiàn)輸出波形占空比可調(diào),從而控制電機(jī)的轉(zhuǎn)速。要實(shí)現(xiàn)其自動控制還有需增加輔助電路,比如比較器,定時(shí)器等,從而有效的控制電機(jī)。
電力拖動控制系統(tǒng)根據(jù)要求的功能主要分為四種控制方式控制方式。(一)電動機(jī)的起動、制動和反向 這種功能通常由繼電器接觸器裝置來實(shí)現(xiàn)。它的優(yōu)點(diǎn)是結(jié)構(gòu)比較簡單,所以應(yīng)用范圍很廣,如機(jī)床、風(fēng)機(jī)、泵等的控制。(二) 精度要求不高的電動機(jī)轉(zhuǎn)速調(diào)節(jié) 包括起動和轉(zhuǎn)速的切換。電動機(jī)的起動和轉(zhuǎn)速切換常由繼電器接觸器組成的系統(tǒng)來實(shí)現(xiàn)。對精度要求不高的轉(zhuǎn)速調(diào)節(jié)一般采 用開環(huán)控制。轉(zhuǎn)速的調(diào)節(jié)誤差完全由電動機(jī)的機(jī)械特性所決定。這類調(diào)速系統(tǒng)廣泛應(yīng)用于金屬切削機(jī)床、軋機(jī)、起重機(jī)、電梯等各種機(jī)械。(三)高精度轉(zhuǎn)速的給定和調(diào)節(jié) 高精度的轉(zhuǎn)速調(diào)節(jié)需要采用閉環(huán)控制,稱為轉(zhuǎn)速自動調(diào)節(jié)。轉(zhuǎn)速自動調(diào)節(jié)系統(tǒng)能在各種外擾作用下保持轉(zhuǎn)速恒定,在精密磨床、軋鋼機(jī)、造紙機(jī)等方面有廣泛的應(yīng)用。(四)隨動傳動 輸出軸復(fù)現(xiàn)輸入軸運(yùn)動的一類電力拖動,常用機(jī)電伺服系統(tǒng)來實(shí)現(xiàn),廣泛應(yīng)用于飛剪、軋機(jī)的壓下裝置和大型天線等方面。
   
1.3 選題意義
   鞏固了模擬電子技術(shù)基本知識,綜合運(yùn)用所學(xué)知識;掌握模擬電子線路的調(diào)試方法,增強(qiáng)工程實(shí)踐能力和綜合分析問題的能力;掌握數(shù)字系統(tǒng)控制的方法。進(jìn)一步加強(qiáng)EDA 編程能力,能運(yùn)用其解決實(shí)際問題。學(xué)會用軟硬件結(jié)合完成對電機(jī)進(jìn)行自動控制,使其滿足生產(chǎn)要求。


1.4 本設(shè)計(jì)的工作
(1)采用電機(jī)——發(fā)電機(jī)方式,兩個(gè)電機(jī)采用軸連接;(采用同一樣型號,或不同型號的直流電機(jī)做發(fā)電機(jī))
(2)分析電路實(shí)現(xiàn)的功能,如何設(shè)置元件參數(shù)并購置相應(yīng)的元器件,了解元件的功能和使用,將電路的原理圖繪制出來。
(3)先在面包板上調(diào)試電路的功能,是電機(jī)基本受輸入控制。功能實(shí)現(xiàn)后使用Altium Designer繪制pcb圖并焊接硬件電路。
4)通過EDA編程編寫PWM波,先實(shí)現(xiàn)基本的功能,后通過改進(jìn)實(shí)現(xiàn)控制精度的提升、實(shí)現(xiàn)其按設(shè)定值自動加減。
5)發(fā)電機(jī)采用整流濾波電路(選取小濾波電容)輸出,負(fù)載為10Ω電阻。
6)電機(jī)通過調(diào)節(jié)PWM脈沖寬度,控制電機(jī)轉(zhuǎn)速,間接控制發(fā)電機(jī)的輸出電壓的大小,使輸出電壓控制在1V以內(nèi)。
7)通過數(shù)碼管顯示電機(jī)當(dāng)前轉(zhuǎn)速的檔位,共設(shè)置了10個(gè)檔位,當(dāng)輸出電壓穩(wěn)定,數(shù)碼管顯示的檔位穩(wěn)定。
8)使用LM339作為電壓比較器,以此給CPLD提供反饋信號。
9)確定調(diào)試方案,選擇測試儀器;
10)安裝電路和調(diào)試電路達(dá)到設(shè)計(jì)要求。







第2章 硬件部分簡介
2.1 具體方案論證與設(shè)計(jì)
方案1:
硬件電路的主要分為三個(gè)小模塊,一塊是電動機(jī)的控制電路,一塊是發(fā)電機(jī)輸出電壓比較反饋到控制芯片;另一塊就是兩電機(jī)傳動模塊。在編程上通過固定輸入信號的占空比下改變信號的頻率。
控制采用的是三級管K8050做為開關(guān)控制器件。之前考慮過用可控硅進(jìn)行控制,后查閱資料發(fā)現(xiàn)可控硅的響應(yīng)速度不及三級管,所以采用三級管。該三極管屬于NPN型硅材料,最大集電極電流:0.5A,最大集電極-發(fā)射極電壓為25V,基本上可以滿足電機(jī)控制需要。為防止電機(jī)停轉(zhuǎn)時(shí)電流過大擊穿三級管,在電機(jī)兩旁接上一個(gè)1N4007使其能在斷開瞬間放電保護(hù)三級管。接著就將這些在面包板上插好并調(diào)試,使電機(jī)能受輸入電壓控制。
兩個(gè)電機(jī)的連接方面,剛開始是想通過兩個(gè)電機(jī)的軸相互重合用烙鐵將其焊穩(wěn),后面發(fā)現(xiàn)這樣連接的問題就是軸沒在同一水平線上導(dǎo)致電機(jī)轉(zhuǎn)的時(shí)候總是在動,這樣不行。后面又想著用齒輪帶動電機(jī)相互轉(zhuǎn),這一想法實(shí)現(xiàn)起來比較麻煩且還需找到合適的齒輪,所以這一方案廢除。最后想起的是一個(gè)比較簡單易行的法子。把兩個(gè)電機(jī)的軸對齊,用膠帶把它連接好,電機(jī)將其固定在一底座上減少因震動帶來的誤差。這是比較好的方法,一電機(jī)能能帶動另一電機(jī)運(yùn)行并穩(wěn)定的工作。
比較器的利用的是LM339,它內(nèi)部集成了四個(gè)運(yùn)放,本設(shè)計(jì)中利用了其中一個(gè)運(yùn)放,在它的反向輸入端設(shè)置一參考電壓。當(dāng)發(fā)電機(jī)輸出電壓大于參考電壓是輸出為低電平,當(dāng)小于時(shí)輸出電壓為高電平,以此來反饋到控制芯片上。
以下是方案一的硬件電路實(shí)物圖:
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps14F8.tmp.png
兩電機(jī)傳動部分:
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps1509.tmp.png
方案一還存在以下一些問題:1.電機(jī)轉(zhuǎn)動的電流達(dá)到0.4A了,導(dǎo)致三級管發(fā)熱比較嚴(yán)重,長時(shí)間工作可能會燒壞;     2.控制芯片EMP570100C5的輸出引腳直接接在三極管的基極上,未進(jìn)行隔離。若電路出故障反向電流很容易燒壞控制芯片。   3.比較器的比較電壓時(shí)有時(shí)候不靈敏,且存在一些誤差。
方案2:
在方案一的基礎(chǔ)進(jìn)行了改進(jìn)。
1.用兩個(gè)三級管構(gòu)成達(dá)靈頓管,達(dá)靈頓三級管具有高電流增益,電壓增益約等于1,高輸入阻抗、低輸出阻抗,因此具有良好的開關(guān)特性。
2.軟件上通過固定輸入信號頻率改變占空比來控制電機(jī)轉(zhuǎn)速,這種方案能有效控制轉(zhuǎn)速,比調(diào)頻的方法好很多。
3.在三級管的基極輸入處用到LM244驅(qū)動芯片,它可以隔離三級管控制電路產(chǎn)生較大電流對控制芯片造成的影響。還可將控制芯片的電壓提高,使三級管工作在深度飽和狀態(tài)。
4.通過軟件編程增加一檔位顯示電路,將波形分為10個(gè)檔位,用數(shù)碼管顯示。
5.電路的制作采用了PCB制板,電路減少了由引線帶來的不穩(wěn)定性,且較美觀。

2.2 主控芯片的簡介
可編程邏輯控制器件(FPGA)是通過硬件語言實(shí)現(xiàn)芯片內(nèi)部邏輯門按一定組合實(shí)現(xiàn)一定的電路功能。PFGA的發(fā)展經(jīng)歷了30的發(fā)展,從剛開始只有1000多個(gè)邏輯門,到后面能集成25萬個(gè)邏輯門,到現(xiàn)在的Altera公司推出了幾百萬門的可編程邏輯控制芯片。從它的發(fā)展歷程來看,F(xiàn)PGA的發(fā)展以達(dá)到了一個(gè)新的高度,今后要取得質(zhì)的突破可能要在材料及硬件結(jié)構(gòu)上進(jìn)行配置。
可編程邏輯控制器的優(yōu)點(diǎn)可歸納為:成本較低,便于維護(hù),工作可靠。在效能方面,它執(zhí)行算法的速度數(shù)字處理器速度,能在同一周期內(nèi)完成很多的作業(yè)。有分析公司認(rèn)為,某些應(yīng)用中使用的數(shù)字處理器的方案應(yīng)用FPGA,能在硬件層級控制I/O縮短回應(yīng)的時(shí)間,能夠更好的滿足使用者的需求。





第3章    電力拖動自動控制原理
3.1 電路原理圖
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps150A.tmp.jpg
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps150B.tmp.jpg

3.2  實(shí)物圖
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps151B.tmp.png
FPGA輸出波形:
file:///C:%5CUsers%5CADMINI%7E1%5CAppData%5CLocal%5CTemp%5Cksohtml%5Cwps151C.tmp.png
3.3  實(shí)驗(yàn)程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity love4  is
Port(clk,fankui:in std_logic;                   --輸入clk,
     out1,b0,b1,b2,b3,b4,b5,b6:out std_logic);    --輸出
end;

architecture kc2 of love4 is
signal clk1:std_logic;
signal count_4:std_logic_vector(3 downto 0);
signal out_7:std_logic_vector(6 downto 0);
signal cout3:integer range -1 to 40;
begin
b0<=out_7(0);b1<=out_7(1);        --將信號賦給輸出
b2<=out_7(2);b3<=out_7(3);
b4<=out_7(4);b5<=out_7(5);
b6<=out_7(6);

process(clk)
variable cnt:integer range 0 to 50000000;
begin
if(clk'event and clk='1') then
cnt:=cnt+1;
if(cnt<25000000) then clk1<='0';      --clk1是250k的方波
elsif(cnt<50000000) then clk1<='1';
else cnt:=0;clk1<='0';
end if;
end if;
end  process;
process(a1,b1,clk)   
begin
  if (clk'event and clk='1') then
     if a1='0' then
       if sum = 5 then sum <= 0;
          else sum <= sum+1;
       end if;
      elsif b1='0' then        --sum--  b1
        if sum = 0 then sum <= 5;
            else sum <= sum-1;
         end if;
      end if;
    end if;
end  process;
process(fankui)
begin
if cout3>19 then cout3<=19;
elsif  cout3<0 then cout3<=0;
else
    if clk1'event and clk1='1' then
if feed='0' then            --反饋信號,0時(shí)自減,其他情況自加
cout3<=cout3-1;              
else cout3<=cout3+1;
end if;
else null;
end if;
end if;
end process;
process(cout3)
variable cnt,cna:integer range 0  to 50000;
variable i:integer range 0 to 40;
begin
if cnt=50000 then cnt:=0;
else
if clk'event and clk='1' then
if cout3=0 then        --分頻出1khz的信號
cnt:=0;
else
cnt:=10000+2000*cout3;
end if;
end if;
end if;
if clk'event and clk='1' then cna:=cna+1;
if cna<cnt then out1<='1';   --通過cna與cnt的比較確定輸出高、低電平
elsif cna<50000 then out1<='0' ;
else cna:=0;out1<='0';
end if;
end if;
end process;
process(cout3)
begin
case cout3 is
when 0=>out_7<="0111111";     --數(shù)碼顯示
when 1=>out_7<="0001100";
when 2=>out_7<="1110110";
when 3=>out_7<="1011110";
when 4=>out_7<="1001101";
when 5=>out_7<="1011011";
when 6=>out_7<="1111011";
when 7=>out_7<="0001110";
when 8=>out_7<="1111111";
when 9=>out_7<="1011111";
when others=>out_7<="0111111";
end case;
end  process;
end  kc2;



第4章  結(jié)論
經(jīng)過一個(gè)月半的努力終于完成了課設(shè),在本設(shè)計(jì)中存在一些毛病,比如焊接貼片元件不是很好,控制電壓的精度還是有待提高等。該設(shè)計(jì)的優(yōu)點(diǎn)能顯示電機(jī)轉(zhuǎn)速的檔位,輸出電壓能控制在0.95--1.05V間波動,電路的整體布局比較美觀等。
本設(shè)計(jì)中的不足與改進(jìn)建議:
(1)本設(shè)計(jì)存在的缺點(diǎn)是PWM信號每次加減占空比的幅度較大,導(dǎo)致輸出電壓的精度不高。因此,可以在軟件上修改加減占空比的的幅度,這種做法可能用一個(gè)數(shù)碼管顯示檔位顯得不夠,可以用兩位的顯示。
2)在比較器參考電壓的設(shè)置上采用的比控制的電壓偏高的方式去設(shè)定的,這樣做的原因一個(gè)是占空比的加減幅度較大,另一個(gè)是比較器的反應(yīng)速度較慢造成的,建議可以提高比較器的響應(yīng)速度。
3要能夠?qū)⒄n設(shè)電路運(yùn)用到實(shí)際的工作中,將其運(yùn)用到小車的速度控制等上面.


心得體會
     經(jīng)歷了一個(gè)多月的努力,課設(shè)電路的功能基本實(shí)現(xiàn)了,真的是好事多磨,終于可以分開心去做其他的事了。當(dāng)老師驗(yàn)收電路時(shí)看到自己設(shè)計(jì)的電路能合格通過,那喜悅之情難以用言語表達(dá),能讓人開心許久,也讓人記憶深刻。
通過做課設(shè)讓我學(xué)會很多,把所學(xué)的東西用上了鞏固了。之前感覺在大學(xué)里自己的專業(yè)方面的知識學(xué)進(jìn)去的很少,有的是在吃高中時(shí)期的老本,做課設(shè)培養(yǎng)的是動手能力和分析解決問題的能力。這個(gè)電路在硬件上比較簡單,關(guān)鍵是軟件編程上,之前EDA學(xué)的并不怎樣,要讓它實(shí)現(xiàn)軟硬件結(jié)合也是花了較多的時(shí)間。
通過課設(shè)讓我感悟很多,做課設(shè)我體會了第一次在實(shí)驗(yàn)室熬夜編程,也經(jīng)歷了多次失敗仍愈挫愈勇。電路的實(shí)現(xiàn)方案想了很多,之前想偷點(diǎn)懶套用以前調(diào)頻率的去控制,后面發(fā)現(xiàn)調(diào)頻對直流電機(jī)的轉(zhuǎn)速控制效果不好,后面還是采用了pwm進(jìn)行控制。在將各個(gè)方案實(shí)現(xiàn)的過程上也是個(gè)曲折的過程,有的試了還是不行,于是繼續(xù)嘗試,這種感受確實(shí)挺考驗(yàn)人的耐性,不過還是做出來了。由于第一次做軟硬件的結(jié)合實(shí)現(xiàn)一定功能的電路,所以很多東西都不怎么懂,在電路方案的設(shè)計(jì)和參數(shù)的確定上是通過老師的指導(dǎo)和自己摸索才做出來的。
    以后在電路的設(shè)計(jì)上,我會先做好分析,找出最優(yōu)的方案去實(shí)現(xiàn)電路功能。做實(shí)驗(yàn)有時(shí)候并不是看一個(gè)人的是不是很聰明,還要有靜下心做實(shí)驗(yàn),能排除各種干擾專注手頭之事。要經(jīng)得起失敗的考驗(yàn),也要對自己有信心。

參考文獻(xiàn)
[1]喻凱余.淺析電力拖動自動控制系統(tǒng)[J].科技風(fēng).2013(12)
[2]段勇.電力拖動教學(xué)淺談[J].黑河教育.2014(03)
[3]周建良.電力拖動系統(tǒng)的自動控制和安全保護(hù)[J].電子技術(shù)與軟件工程.2015(03)
[4]陳中孝,苗榮霞.電力拖動系統(tǒng)運(yùn)行過程的分析[J].價(jià)值工程.2012(07)
[5]襲祥軍.淺析電力拖動自動控制系統(tǒng)[J].知識經(jīng)濟(jì).2011(07)
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復(fù)

使用道具 舉報(bào)

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 福利视频日韩 | 日本不卡免费新一二三区 | www.久| 91精品国产91久久久久久吃药 | 免费观看一级黄色录像 | www久久 | 一级a爱片性色毛片免费 | 国产三级日本三级 | 人人草天天草 | 久久99精品国产 | 久久最新| 亚洲精选一区二区 | 亚洲成av人片在线观看 | 精品99在线 | 成人在线一区二区 | 精品一二区 | 精品国产亚洲一区二区三区大结局 | 久久久91精品国产一区二区精品 | 久久精品国产免费一区二区三区 | 国产精品成人国产乱一区 | 91在线观看视频 | 视频一区二区在线 | 欧美精品日韩精品国产精品 | 波多野结衣中文字幕一区二区三区 | 久久不射电影网 | 国产成人精品午夜视频免费 | 天天爽天天干 | av国产精品毛片一区二区小说 | 天堂综合 | 天天影视亚洲综合网 | 日本不卡一二三 | 在线免费看91 | 日本精品一区二区三区视频 | 一区二区三区视频免费观看 | 亚洲日韩中文字幕一区 | 精品国产成人 | 一区二区三区视频在线 | 国产1区2区 | 精品视频久久久 | 亚洲欧美日韩电影 | 精久久久|