久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 5692|回復(fù): 0
打印 上一主題 下一主題
收起左側(cè)

FPGA學(xué)習(xí)-3-8譯碼器設(shè)計(jì)驗(yàn)證

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
ID:108531 發(fā)表于 2016-3-12 22:46 | 只看該作者 回帖獎勵 |倒序?yàn)g覽 |閱讀模式

[組合邏輯]

源程序:可以認(rèn)為 led_test 就是一個芯片

module led_test(a, b, c, out);

 

    input a;                    // 輸入信號

    input b;                    // 輸入信號

    input c;                    // 輸入信號

   

    //output [7:0]out;    // 由于要用到 always 程序塊中,需要聲明為 reg 類型

    // 如果不是 reg 類型則會報(bào)錯

    // Error (10137): Verilog HDL Procedural Assignment error at led_test.v(12):

    //    object "out" on left-hand side of assignment must have a variable data type

    output reg [7:0]out;    // 輸出信號

 

    always@(a, b, c)begin

        case({a, b, c})

            3'b000 : out = 8'b0000_0001;

            3'b001 : out = 8'b0000_0010;

            3'b010 : out = 8'b0000_0100;

            3'b011 : out = 8'b0000_1000;

            3'b100 : out = 8'b0001_0000;

            3'b101 : out = 8'b0010_0000;

            3'b110 : out = 8'b0100_0000;

            3'b111 : out = 8'b1000_0000;

        endcase

    end

 

endmodule

 

 

仿真程序:

`timescale 1ns/1ns                // 延時時間單位/仿真精度

module led_test_tb;

   

    reg a, b, c;

    wire[7:0] out;

   

    led_test u1(.a(a), .b(b), .c(c), .out(out));    // 將端口(IO口)連接到 led_test 芯片中

       

    initial begin   

   

        a = 0; b = 0; c = 0;    // 設(shè)置端口(IO口)的電平狀態(tài)

        #200;

        a = 0; b = 0; c = 1;

        #200;

        a = 0; b = 1; c = 0;

        #200;

        a = 0; b = 1; c = 1;

        #200;

        a = 1; b = 0; c = 0;

        #200;

        a = 1; b = 0; c = 1;

        #200;

        a = 1; b = 1; c = 0;

        #200;

        a = 1; b = 1; c = 1;

        #200;

        $stop;                // 停止執(zhí)行

   

    end

   

endmodule

 

 

知識點(diǎn)總結(jié):

1、always 里面的變量必須是 reg 類型,否則會報(bào)如下錯誤


2、
從仿真的角度來看,out 輸出的電平并不是理想的。
 


放大其中
,從 00000001 跳變到 00000010 中間還有一個 00000000


從 00000001 變化到 0000010 有兩個bit會發(fā)生變化,由于電路結(jié)構(gòu)關(guān)系,它們有可能不會同時變化,有可能bit1最開始變化,也有可能bit0最開始變化。

還有另外一種情況:

 

3、如果已經(jīng)運(yùn)行了仿真程序,則會報(bào)如下錯誤

 

作業(yè):實(shí)現(xiàn)一個4-16 譯碼器

源程序:

/* 4-16 譯碼器 */

module led_test(a, b, c, d, out);

 

    input a, b, c, d;

    output reg[15:0] out;

   

    always@(a, b, c, d)begin

   

        case({a, b, c, d})

            4'b0000 : out = 16'b0000_0000_0000_0001;

            4'b0001 : out = 16'b0000_0000_0000_0010;

            4'b0010 : out = 16'b0000_0000_0000_0100;

            4'b0011 : out = 16'b0000_0000_0000_1000;

            4'b0100 : out = 16'b0000_0000_0001_0000;

            4'b0101 : out = 16'b0000_0000_0010_0000;

            4'b0110 : out = 16'b0000_0000_0100_0000;

            4'b0111 : out = 16'b0000_0000_1000_0000;

            4'b1000 : out = 16'b0000_0001_0000_0000;

            4'b1001 : out = 16'b0000_0010_0000_0000;

            4'b1010 : out = 16'b0000_0100_0000_0000;

            4'b1011 : out = 16'b0000_1000_0000_0000;

            4'b1100 : out = 16'b0001_0000_0000_0000;

            4'b1101 : out = 16'b0010_0000_0000_0000;

            4'b1110 : out = 16'b0100_0000_0000_0000;

            4'b1111 : out = 16'b1000_0000_0000_0000;

        endcase

   

    end

 

endmodule

 

 

仿真程序:

`timescale 1ns/1ns

module led_test_tb;

 

    reg a, b, c, d;

    wire[15:0] out;

   

    led_test u1(.a(a), .b(b), .c(c), .d(d), .out(out));

 

    initial begin   

        a = 0; b = 0; c = 0; d = 0; #200;

        a = 0; b = 0; c = 0; d = 1; #200;

        a = 0; b = 0; c = 1; d = 0; #200;

        a = 0; b = 0; c = 1; d = 1; #200;

        a = 0; b = 1; c = 0; d = 0; #200;

        a = 0; b = 1; c = 0; d = 1; #200;

        a = 0; b = 1; c = 1; d = 0; #200;

        a = 0; b = 1; c = 1; d = 1; #200;

        a = 1; b = 0; c = 0; d = 0; #200;

        a = 1; b = 0; c = 0; d = 1; #200;

        a = 1; b = 0; c = 1; d = 0; #200;

        a = 1; b = 0; c = 1; d = 1; #200;

        a = 1; b = 1; c = 0; d = 0; #200;

        a = 1; b = 1; c = 0; d = 1; #200;

        a = 1; b = 1; c = 1; d = 0; #200;

        a = 1; b = 1; c = 1; d = 1; #200;

        $stop;

    end

endmodule

 

 

仿真波形:

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享淘帖 頂 踩
回復(fù)

使用道具 舉報(bào)

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 丁香色婷婷 | 精品视频网 | 精品精品视频 | 女人精96xxx免费网站p | 日韩一区在线播放 | 国产电影一区二区 | 国产小视频精品 | 色综网 | 日本成人福利视频 | 羞羞视频在线免费 | 亚洲国产精品精华素 | 午夜精品一区二区三区在线播放 | 亚欧洲精品在线视频免费观看 | 国产精品久久久久久久久久久久 | 成人欧美一区二区 | 国产乱xxav| 神马久久久久久久久久 | 亚洲视频在线看 | 91国内精精品久久久久久婷婷 | www.色53色.com| 一级片免费在线观看 | 久久国产精品精品 | 射久久| 国产黄色小视频在线观看 | 337p日本欧洲亚洲大胆鲁鲁 | 欧美日韩中文字幕在线 | 免费久久久久久 | 亚洲精品久久久久久久久久久久久 | 日韩精品一区二区在线观看 | 狠狠久久综合 | 超碰在线人人干 | 国产乱码一区 | 亚洲精品国产一区 | 女朋友的闺蜜3韩国三级 | 成人在线视频免费观看 | 夜夜av| 美日韩精品 | 国产毛片久久久 | 蜜桃视频一区二区三区 | 精品日韩在线 | 国产精品成人国产乱一区 |