久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 3760|回復: 2
打印 上一主題 下一主題
收起左側

Quartus Verilog HDL/FPGA 驅動4位動態數碼管演示4位16進制累加 (每隔1秒加1)

[復制鏈接]
跳轉到指定樓層
樓主

  1. module main(
  2.         input clk,                                                        //50Mhz 時鐘輸入
  3.         output reg [7:0] seg_code,                //數碼管段碼 8位        A~DP
  4.         output reg [3:0] bit_code                //數碼管位碼 4位 ~1:千位 ~2:百位        ~4:十位 ~8:個位
  5. );

  6. function [7:0] display;                        //16進制數碼管段碼查詢
  7.         input [3:0] hex;
  8.         begin
  9.         case(hex)
  10.                 4'h0:display = 8'h3F;
  11.                 4'h1:display = 8'h06;
  12.                 4'h2:display = 8'h5B;
  13.                 4'h3:display = 8'h4F;
  14.                 4'h4:display = 8'h66;
  15.                 4'h5:display = 8'h6D;
  16.                 4'h6:display = 8'h7D;
  17.                 4'h7:display = 8'h07;
  18.                 4'h8:display = 8'h7F;
  19.                 4'h9:display = 8'h6F;
  20.                 4'hA:display = 8'h77;
  21.                 4'hB:display = 8'h7C;
  22.                 4'hC:display = 8'h39;
  23.                 4'hD:display = 8'h5E;
  24.                 4'hE:display = 8'h79;
  25.                 4'hF:display = 8'h71;       
  26.         endcase
  27.         end
  28. endfunction

  29. reg [15:0] hex = 0;                //數碼管通過16進制顯示出此寄存器的值
  30. reg [15:0] i = 0;
  31. reg [1:0] j = 0;
  32. reg [31:0] k = 0;
  33. always @(posedge clk) begin                //CLK上升沿觸發
  34.         //數碼管動態掃描 (FPGA的段碼和位碼可以并行發送 所以不需要消影)
  35.         i = i + 1'd1;
  36.         if(i == 16'd50000) begin                //50000個時鐘即為1ms
  37.                 i = 0;
  38.                         j <= j + 1'd1;
  39.                         //共陰或共陽 如果顯示不正確 可將 seg_code 或 bit_code 前的 ~號去除 并檢查A~DP的Pin是否設置顛倒
  40.                         case(j)
  41.                                 2'd0:seg_code <= ~display(hex[15:12]);
  42.                                 2'd1:seg_code <= ~display(hex[11:8]);
  43.                                 2'd2:seg_code <= ~display(hex[7:4]);
  44.                                 2'd3:seg_code <= ~display(hex[3:0]);
  45.                         endcase
  46.                         bit_code <= ~(1'd1 << j);
  47.         end
  48.         //16進制累加 1秒+1
  49.         k = k + 1'd1;
  50.         if(k == 50000000) begin
  51.                 k = 1'd0;
  52.                 hex <= hex + 1'd1;
  53.         end
  54. end


  55. endmodule

復制代碼

評分

參與人數 1黑幣 +50 收起 理由
admin + 50 共享資料的黑幣獎勵!

查看全部評分

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復

使用道具 舉報

沙發
ID:691028 發表于 2022-2-16 08:44 | 只看該作者
學習樓主的實例,請教一下樓主,Verilog HDL該如何系統的學習呢?總是學不會
回復

使用道具 舉報

板凳
ID:57657 發表于 2022-2-16 12:38 | 只看該作者
0x00000000 發表于 2022-2-16 08:44
學習樓主的實例,請教一下樓主,Verilog HDL該如何系統的學習呢?總是學不會

沒學過單片機、數電的先學這些。
想實現什么功能,按照程序邏輯進行移植。
網上買幾本書,里面有語法和配置方法。
回復

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

手機版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術交流QQ群281945664

Powered by 單片機教程網

快速回復 返回頂部 返回列表
主站蜘蛛池模板: 欧美一区二区三区四区视频 | 中文字幕在线播放不卡 | 一级黄色毛片子 | 欧美自拍网站 | 日韩精品久久久久久 | 亚洲精品一区二区三区蜜桃久 | 欧美日韩国产在线观看 | 日韩在线免费视频 | 欧美日韩亚洲一区 | 亚洲成人av一区二区 | av网站在线看 | 天天干夜夜拍 | 一区二区三区在线电影 | 亚洲色图图片 | 天天综合干 | 亚洲综合无码一区二区 | 国产一级成人 | 91色综合 | 毛片韩国 | 日韩久久综合网 | 欧美激情一区二区 | 久久精品国产99国产精品 | 国产区精品| 日本天天操 | 日日操av| 韩国av影院 | 少妇一区二区三区 | 国产一区 | 在线一区视频 | 久久精品国产亚洲一区二区 | 午夜激情在线 | 亚洲一区在线日韩在线深爱 | 欧美在线a| 成人小视频在线 | 男女视频在线观看网站 | 久久天天躁狠狠躁夜夜躁2014 | 青青草视频网站 | 精品一区视频 | 狠狠综合久久av一区二区小说 | 成人激情视频在线观看 | 人人看人人搞 |