久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 2041|回復(fù): 0
打印 上一主題 下一主題
收起左側(cè)

EDA中此程序只能一位數(shù)碼管顯示幫忙看看怎樣實(shí)現(xiàn)兩位數(shù)碼管顯示

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
ID:723220 發(fā)表于 2021-5-9 16:44 | 只看該作者 回帖獎勵(lì) |倒序?yàn)g覽 |閱讀模式
   LIBRARY IEEE;
   USE IEEE.STD_LOGIC_1164.ALL;
   use ieee.std_logic_arith.all;
   use ieee.std_logic_unsigned.all;

ENTITY Vhdl1 IS
PORT (CP,R,EN:IN STD_LOGIC;
CO:OUT BIT;
Y:OUT INTEGER RANGE 0 TO 10;
LED : out std_logic_vector(6 downto 0));
END Vhdl1;

architecture RT of Vhdl1 is
signal Q:INTEGER RANGE 0 TO 10;
BEGIN
PROCESS(CP,R,EN)
   BEGIN
        IF R='1' THEN Q<=0;
        ELSIF(EN = '1')THEN
        IF(CP'EVENT AND CP='1')THEN
        IF Q=9 THEN
                CO<='1';
                Q<=0;
                ELSE
                Q<=Q+1;
                CO<='0';
        END IF;
        END if;
    END IF;
     Y<=Q;
END PROCESS;
with Q select
  LED<="1000000" when 0,
           "1111001" when 1,
           "0100100" when 2,
            "0110000" when 3,
           "0011001" when 4,
     "0010010" when 5,
         "0000010" when 6,
         "1111000" when 7,
         "0000000" when 8,
     "0010000" when 9,
     "1111111" when others;

END RT;

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復(fù)

使用道具 舉報(bào)

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 国产一区三区视频 | 91久久久精品国产一区二区蜜臀 | 最新日韩欧美 | 国产精品a久久久久 | 成人精品在线观看 | 国产在线观看一区二区三区 | 丁香综合 | 福利视频三区 | 69亚洲精品 | 网址黄 | 欧美综合网 | 午夜不卡福利视频 | www国产成人免费观看视频,深夜成人网 | 欧洲av在线 | 亚州av在线| 欧美日韩一 | 中文字幕专区 | 日韩精品一区二区三区中文在线 | 亚洲一区视频在线播放 | 久久久久久久久久久久久9999 | 日韩av视屏| 久久精品一 | 婷婷久久综合 | 精品欧美一区二区三区精品久久 | 一级黄色片在线免费观看 | 久久国产精品视频 | 色毛片 | 国产精品欧美一区二区三区 | 五月婷婷丁香婷婷 | 免费观看一级毛片 | 久久精品国产免费一区二区三区 | 亚洲成人一区二区三区 | 国产区第一页 | 午夜国产精品视频 | 在线视频99 | 91在线视频播放 | 国产一区二区久久久 | 91在线精品一区二区 | 男女羞羞视频免费 | 乱一性一乱一交一视频a∨ 色爱av | 好姑娘高清在线观看电影 |