久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊(cè)

QQ登錄

只需一步,快速開(kāi)始

搜索
查看: 3336|回復(fù): 2
打印 上一主題 下一主題
收起左側(cè)

VHDL例化元件報(bào)錯(cuò)和類(lèi)型不匹配的問(wèn)題

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
在做一個(gè)老師課后布置的小設(shè)計(jì),出了兩個(gè)錯(cuò)誤,2天了,我嘗試了各種辦法依舊沒(méi)有解決。
元件例化的port ma出錯(cuò)

顯示“未定義的實(shí)體”,但是在同一個(gè)VHDL文件上面,明明有定義了。


還有這個(gè)類(lèi)型匹配的問(wèn)題7+2(1 downto 0)=9


這里要求一個(gè)賦值給矢量(2 downto 0)
我只能補(bǔ)個(gè)blank,壓根就算不上解決....


不知道各位大佬有何見(jiàn)解
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復(fù)

使用道具 舉報(bào)

沙發(fā)
ID:258507 發(fā)表于 2019-2-28 18:42 | 只看該作者
文字版
出錯(cuò)源代碼   pcreg:flopr generic map(32) port map(clk,reset,pcnext,pc);

Error (12006): Node instance "pcreg" instantiates undefined entity "flopr". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

下面是flopr的定義...就在出錯(cuò)代碼的上方。
component flopr generic(width:integer);
    port(clk,reset: in  STD_LOGIC;
              d:         in  STD_LOGIC_VECTOR(width-1 downto 0);
                        q:         out STD_LOGIC_VECTOR(width-1 downto 0));
  end component;

回復(fù)

使用道具 舉報(bào)

板凳
ID:258507 發(fā)表于 2019-2-28 18:48 | 只看該作者

只有這樣1位1位湊夠9位,才能執(zhí)行最后的()<=controls(8 downto 0) 賦值
但是這里的aluop必須為2位,為之奈何?
回復(fù)

使用道具 舉報(bào)

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 亚洲在线| 久久小视频 | 日本免费一区二区三区四区 | 欧美中文一区 | 伊人亚洲 | 欧美激情亚洲天堂 | 国产精品视频在线观看 | 久久久久久av | 国产精品日产欧美久久久久 | 秋霞电影一区二区 | 国内久久 | 国产资源网 | 欧美一级片在线观看 | 成年人免费在线视频 | 免费在线黄色av | 国产在线激情视频 | 久久久久久国产精品 | 久久久91精品国产一区二区三区 | 国产一二区视频 | 国产精品美女久久久免费 | 亚洲一二三在线观看 | 欧美日韩久久 | 一级大黄| 成人午夜毛片 | 欧美freesex黑人又粗又大 | 久久久www成人免费精品 | 美女一区二区在线观看 | 国产传媒在线观看 | 精品欧美乱码久久久久久 | 天天躁日日躁狠狠的躁天龙影院 | 伊人久久大香线 | 色婷婷av一区二区三区软件 | 人人澡人人射 | 国产精品96久久久久久 | 欧美久久一区 | 国产视频一区二区 | 波多野结衣一区二区 | 久久这里只有精品首页 | 亚欧精品一区 | 中文字幕高清av | 亚洲一级av毛片 |