久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 3461|回復(fù): 2
收起左側(cè)

VHDL例化元件報錯和類型不匹配的問題

[復(fù)制鏈接]
ID:258507 發(fā)表于 2019-2-28 18:31 | 顯示全部樓層 |閱讀模式
在做一個老師課后布置的小設(shè)計,出了兩個錯誤,2天了,我嘗試了各種辦法依舊沒有解決。
元件例化的port ma出錯
error.png
顯示“未定義的實體”,但是在同一個VHDL文件上面,明明有定義了。 error2.png


還有這個類型匹配的問題7+2(1 downto 0)=9

match1.png
這里要求一個賦值給矢量(2 downto 0)
我只能補(bǔ)個blank,壓根就算不上解決....
match2.png

不知道各位大佬有何見解
回復(fù)

使用道具 舉報

ID:258507 發(fā)表于 2019-2-28 18:42 | 顯示全部樓層
文字版
出錯源代碼   pcreg:flopr generic map(32) port map(clk,reset,pcnext,pc);

Error (12006): Node instance "pcreg" instantiates undefined entity "flopr". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

下面是flopr的定義...就在出錯代碼的上方。
component flopr generic(width:integer);
    port(clk,reset: in  STD_LOGIC;
              d:         in  STD_LOGIC_VECTOR(width-1 downto 0);
                        q:         out STD_LOGIC_VECTOR(width-1 downto 0));
  end component;

回復(fù)

使用道具 舉報

ID:258507 發(fā)表于 2019-2-28 18:48 | 顯示全部樓層
QQ截圖20190228184627.png
只有這樣1位1位湊夠9位,才能執(zhí)行最后的()<=controls(8 downto 0) 賦值
但是這里的aluop必須為2位,為之奈何?
回復(fù)

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規(guī)則

小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 在线国产一区 | 久久综合九九 | 国产精品1区2区3区 男女啪啪高潮无遮挡免费动态 | 一区二区免费看 | 黄视频欧美 | 免费视频99 | 亚洲综合三区 | 中文字幕亚洲一区二区三区 | 日韩一区二区三区在线观看 | 国产精品伦一区二区三级视频 | 日韩久久久久久 | 91久久国产综合久久91精品网站 | 精品亚洲一区二区三区 | 国产高清av免费观看 | 中文字幕91av | 国产精品久久久久久久久久久免费看 | 日韩一区二区黄色片 | h片在线播放 | 国产精品一区二区欧美黑人喷潮水 | 国产自产21区 | 日韩激情在线 | 精品一区二区三区在线观看 | 三级黄色片在线播放 | 国产欧美一区二区三区日本久久久 | 麻豆亚洲 | 午夜免费福利片 | 天天射网站 | 欧美日韩国产综合在线 | av片网站| 免费在线视频精品 | 国产日韩精品一区 | 精品国产乱码久久久久久影片 | 一级片在线观看 | 91青青草视频 | 毛片99 | 国产a一区二区 | 中文字幕国产视频 | www.色综合 | 日韩成人在线观看 | ww 255hh 在线观看 | 久久久综合精品 |