|
文字版
出錯(cuò)源代碼 pcreg:flopr generic map(32) port map(clk,reset,pcnext,pc);
Error (12006): Node instance "pcreg" instantiates undefined entity "flopr". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.
下面是flopr的定義...就在出錯(cuò)代碼的上方。
component flopr generic(width:integer);
port(clk,reset: in STD_LOGIC;
d: in STD_LOGIC_VECTOR(width-1 downto 0);
q: out STD_LOGIC_VECTOR(width-1 downto 0));
end component;
|
|