久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊

QQ登錄

只需一步,快速開始

搜索
查看: 1535|回復: 1
打印 上一主題 下一主題
收起左側

基于fpga的方波,三角波信號發生器

[復制鏈接]
跳轉到指定樓層
樓主
ID:452522 發表于 2018-12-29 14:22 | 只看該作者 回帖獎勵 |倒序瀏覽 |閱讀模式
LIBRARY IEEE;-- 選擇器USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Xuanzeqi IS PORT (  sel: in std_logic_vector(1 downto 0); d1,d2:in std_logic_vector(7 downto 0); clk,reset:in std_logic;q:out std_logic_vector(7 downto 0)); END ENTITY Xuanzeqi; ARCHITECTURE behave OF Xuanzeqi IS BEGIN PROCESS(sel) BEGIN CASE sel IS WHEN "00"=>q<=d1;-- 方波

WHEN "11"=>q<=d2;-- 三角波
WHEN OTHERS=>null; END CASE; END PROCESS; END ARCHITECTURE behave; LIBRARY IEEE;-- 方波USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Fangbo IS  PORT ( clk,reset:in std_logic; q: out std_logic_vector(7 DOWNTO 0)); END ENTITY Fangbo; ARCHITECTURE behave OF Fangbo IS SIGNAL temp : std_logic ; BEGIN     PROCESS(clk,reset)     VARIABLE tmp: std_logic_vector(7 downto 0);  BEGIN IF reset='0' THEN   temp<='0'; ELSIF rising_edge(clk) THEN IF tmp="11111111" THEN    tmp:="00000000"; ELSE    tmp:=tmp+1; END IF; IF tmp<="10000000" THEN    temp<='1'; ELSE    temp<='0'; END IF; END IF; END PROCESS; PROCESS(clk,temp) BEGIN IF rising_edge(clk) THEN IF temp='1' THEN    q<="10000000";         -- 占空比?ELSE    q<="11111111";    END IF; END IF;
END PROCESS; END ARCHITECTURE behave;



LIBRARY IEEE;-- 三角波USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Sanjiaobo IS PORT (   clk,reset:in std_logic;  q:out std_logic_vector(7 downto 0)); END ENTITY Sanjiaobo; ARCHITECTURE behave OF Sanjiaobo IS BEGIN PROCESS(clk,reset)    VARIABLE temp1:std_logic_vector(7 downto 0);    VARIABLE temp2:std_logic;       BEGIN IF reset='0' THEN    temp1:="00000000"; ELSIF rising_edge(clk) THEN    IF temp2='0' THEN IF  temp1="11111110" THEN    temp1:="11111111" ;    temp2:='1'; ELSE    temp1:=temp1+1; END IF;    ELSE IF temp1="00000001" THEN     temp1:="00000000";     temp2:='0'; ELSE     temp1:=temp1-1; END IF;    END IF; END IF; q<=temp1; END PROCESS; END ARCHITECTURE behave;

  LIBRARY IEEE;-- 函數發生器USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY hanshu IS PORT (  clock,sw:in std_logic; clr:IN STD_LOGIC; s:IN STD_LOGIC_VECTOR ( 1 DOWNTO 0); qq:OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END ENTITY hanshu; ARCHITECTURE behave of hanshu IS        component Xuanzeqi PORT (  sel: in std_logic_vector(1 downto 0); d1,d2:in std_logic_vector(7 downto 0); clk,reset:in std_logic;q:out std_logic_vector(7 downto 0)); END component Xuanzeqi;         component Fangbo  port ( clk,reset:in std_logic; q: out std_logic_vector(7 downto 0)); end component Fangbo;           component Sanjiaobo PORT (   clk,reset:in std_logic;  q:out std_logic_vector(7 downto 0));  end component Sanjiaobo; SIGNAL t1, t2: std_logic_vector ( 7 downto 0); SIGNAL clk,clk1: std_logic;
begin u0: xuanzeqi port map ( sel =>s, d1 =>t1, d2=>t2,clk=>clk1,reset=>clr,q =>qq) ; u1: Fangbo port map ( clk =>clk1, reset =>clr,q=>t1);   
u2: Sanjiaobo port map ( clk =>clk1, reset=>clr, q =>t2);
process(clock)beginif clock'event and clock='1' thenclk<=not clk;end if;end process;
process(sw,clock)beginif sw='1' thenclk1<=clk;elseclk1<=clock;end if;end process;
end behave;
分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏1 分享淘帖 頂 踩
回復

使用道具 舉報

沙發
ID:1 發表于 2018-12-29 16:28 | 只看該作者
此帖僅作者可見

使用道具 舉報

您需要登錄后才可以回帖 登錄 | 立即注冊

本版積分規則

手機版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術交流QQ群281945664

Powered by 單片機教程網

快速回復 返回頂部 返回列表
主站蜘蛛池模板: 中文字幕视频在线看 | 日本一区二区三区在线观看 | a毛片 | 国产精品视频网 | 无码一区二区三区视频 | 久久亚洲精品久久国产一区二区 | 国产真实精品久久二三区 | 久久久免费 | 欧美国产一区二区三区 | 亚洲综合大片69999 | 在线日韩欧美 | 成人国产精品入口免费视频 | 亚洲美女天堂网 | 久久婷婷香蕉热狠狠综合 | 在线观看免费av网 | 2021狠狠干| 亚洲国产精品第一区二区 | 色狠狠一区 | 91精品国产乱码麻豆白嫩 | 一区二区中文 | 国产欧美一区二区三区在线看 | 欧美日韩一区二区三区视频 | 日韩视频免费看 | 色网在线观看 | 国产三区在线观看视频 | 日韩成人免费视频 | 免费看黄色国产 | 亚洲情侣视频 | 日本精品一区 | 黄片毛片免费看 | 国产精品国产精品国产专区不蜜 | 中文字幕高清视频 | 久久久久一区二区三区四区 | 四虎最新地址 | 国产精品久久久久免费 | 亚洲综合区 | 欧美中国少妇xxx性高请视频 | 日韩欧美在线观看 | 精品国产区 | 国产精品视频偷伦精品视频 | 亚洲精品乱码久久久久久9色 |