久久久久久久999_99精品久久精品一区二区爱城_成人欧美一区二区三区在线播放_国产精品日本一区二区不卡视频_国产午夜视频_欧美精品在线观看免费

 找回密碼
 立即注冊(cè)

QQ登錄

只需一步,快速開(kāi)始

搜索
查看: 3966|回復(fù): 1
打印 上一主題 下一主題
收起左側(cè)

FSK調(diào)制與解調(diào)VHDL程序

[復(fù)制鏈接]
跳轉(zhuǎn)到指定樓層
樓主
ID:272961 發(fā)表于 2018-1-8 17:25 | 只看該作者 回帖獎(jiǎng)勵(lì) |倒序?yàn)g覽 |閱讀模式
8.10  FSK調(diào)制與解調(diào)VHDL程序
1. FSK調(diào)制VHDL程序
--文件名:PL_FSK
--功能:基于VHDL硬件描述語(yǔ)言,對(duì)基帶信號(hào)進(jìn)行FSK調(diào)制
--最后修改日期:
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_FSK is
port(clk     :in std_logic;         --系統(tǒng)時(shí)鐘
     start   :in std_logic;         --開(kāi)始調(diào)制信號(hào)
     x     :in std_logic;          --基帶信號(hào)
     y     :out std_logic);        --調(diào)制信號(hào)
end PL_FSK;
architecture behav of PL_FSK is
signal q1:integer range 0 to 11;      --載波信號(hào)f1的分頻計(jì)數(shù)器
signal q2:integer range 0 to 3;       --載波信號(hào)f2的分頻計(jì)數(shù)器
signal f1,f2:std_logic;             --載波信號(hào)f1f2
begin
process(clk)                     --此進(jìn)程通過(guò)對(duì)系統(tǒng)時(shí)鐘clk的分頻,得到載波f1
begin
if clk'event and clk='1' then
   if start='0' then q1<=0;
   elsif q1<=5 then f1<='1';q1<=q1+1; --改變q1后面的數(shù)字可以改變,載波f1的占空比
   elsif q1=11 then f1<='0';q1<=0;    --改變q1后面的數(shù)字可以改變,載波f1的頻率
   else  f1<='0';q1<=q1+1;
   end if;
end if;
end process;
process(clk)                      --此進(jìn)程通過(guò)對(duì)系統(tǒng)時(shí)鐘clk的分頻,得到載波f2
begin
if clk'event and clk='1' then
   if start='0' then q2<=0;
   elsif q2<=0 then f2<='1';q2<=q2+1; --改變q2后面的數(shù)字可以改變,載波f2的占空比
   elsif q2=1 then f2<='0';q2<=0;     --改變q2后面的數(shù)字可以改變,載波f2的頻率
   else f2<='0';q2<=q2+1;
   end if;
end if;
end process;
process(clk,x)                    --此進(jìn)程完成對(duì)基帶信號(hào)的FSK調(diào)制
begin
if clk'event and clk='1' then
   if x='0' then y<=f1;            --當(dāng)輸入的基帶信號(hào)x=0’時(shí),輸出的調(diào)制信號(hào)yf1
   else y<=f2;                  --當(dāng)輸入的基帶信號(hào)x=1’時(shí),輸出的調(diào)制信號(hào)yf2
   end if;
end if;
end process;
end behav;
1. FSK解調(diào)VHDL程序
--文件名:PL_FSK2
--功能:基于VHDL硬件描述語(yǔ)言,對(duì)FSK調(diào)制信號(hào)進(jìn)行解調(diào)
--最后修改日期:
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_FSK2 is
port(clk      :in std_logic;             --系統(tǒng)時(shí)鐘
     start    :in std_logic;             --同步信號(hào)
     x      :in std_logic;             --調(diào)制信號(hào)
     y      :out std_logic);           --基帶信號(hào)
end PL_FSK2;
architecture behav of PL_FSK2 is
signal q:integer range 0 to 11;           --分頻計(jì)數(shù)器
signal xx:std_logic;                   --寄存器
signal m:integer range 0 to 5;           --計(jì)數(shù)器
begin
process(clk)                         --對(duì)系統(tǒng)時(shí)鐘進(jìn)行q分頻
begin
if clk'event and clk='1' then xx<=x;      --clk信上升沿時(shí),x信號(hào)對(duì)中間信號(hào)xx賦值
   if start='0' then q<=0;              --if語(yǔ)句完成Q的循環(huán)計(jì)數(shù)
   elsif q=11 then q<=0;
   else q<=q+1;
   end if;
end if;
end process;
process(xx,q)                         --此進(jìn)程完成FSK解調(diào)
begin
if q=11 then m<=0;        --m計(jì)數(shù)器清零
elsif q=10 then
   if m<=3 then y<='0';                --if語(yǔ)句通過(guò)對(duì)m大小,來(lái)判決y輸出的電平
   else y<='1';
   end if;
elsif  xx'event and xx='1'then m<=m+1;  --計(jì)xx信號(hào)的脈沖個(gè)數(shù)
end if;
end process;
end behav

分享到:  QQ好友和群QQ好友和群 QQ空間QQ空間 騰訊微博騰訊微博 騰訊朋友騰訊朋友
收藏收藏 分享淘帖 頂 踩
回復(fù)

使用道具 舉報(bào)

沙發(fā)
ID:273963 發(fā)表于 2018-1-9 20:46 來(lái)自手機(jī) | 只看該作者
這個(gè)程序你運(yùn)行成功過(guò)嗎?為什么我運(yùn)行起來(lái)顯示有三個(gè)警告啊
回復(fù)

使用道具 舉報(bào)

本版積分規(guī)則

手機(jī)版|小黑屋|51黑電子論壇 |51黑電子論壇6群 QQ 管理員QQ:125739409;技術(shù)交流QQ群281945664

Powered by 單片機(jī)教程網(wǎng)

快速回復(fù) 返回頂部 返回列表
主站蜘蛛池模板: 国产伦精品一区二区三区视频金莲 | 999国产视频 | 亚洲欧美日韩在线 | 日日操视频 | 久久一区二区三区四区 | 羞羞视频在线网站观看 | 91久久精品一区二区二区 | 国产精品美女久久久 | 日本成人在线观看网站 | 暴草美女 | 国产精品www | 欧美激情久久久 | 国产精品无码久久久久 | 999久久久久久久 | 久久久久久91 | 欧美视频日韩 | 午夜手机在线 | 欧美区在线 | 国产美女福利在线观看 | 亚洲一区久久久 | 久久久久国产 | 欧美久久精品一级黑人c片 91免费在线视频 | 成人免费在线观看视频 | 久久久久久九九九九 | 精品中文字幕在线观看 | 少妇久久久 | 国产目拍亚洲精品99久久精品 | 国产日韩欧美精品一区二区三区 | 新疆少妇videos高潮 | 免费污视频 | 99精品一区二区三区 | 日韩精品一区二区三区中文字幕 | 伊人伊人伊人 | 欧美激情国产日韩精品一区18 | 麻豆久久久久久久 | caoporn国产精品免费公开 | 美女久久久久久久久 | 超碰地址| 午夜精品一区 | 国产精品视频在线播放 | 成人亚洲性情网站www在线观看 |